A Compact-Area Low-VDDmin 6T SRAM With Improvement in Cell Stability, Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme

被引:23
作者
Chang, Meng-Fan [1 ]
Chen, Chien-Fu [1 ]
Chang, Ting-Hao [2 ]
Shuai, Chi-Chang [2 ]
Wang, Yen-Yao [2 ]
Chen, Yi-Ju [1 ]
Yamauchi, Hiroyuki [3 ]
机构
[1] Natl Tsing Hua Univ, Hsinchu 30013, Taiwan
[2] United Microelect Corp, Hsinchu 300, Taiwan
[3] Fukuoka Inst Technol, Fukuoka, Japan
关键词
Low-voltage; read assist; SRAM; write assist; BIT-LINE; 8T SRAM; OPERATION; TECHNOLOGY;
D O I
10.1109/JSSC.2017.2701547
中图分类号
TM [电工技术]; TN [电子技术、通信技术];
学科分类号
0808 ; 0809 ;
摘要
Previous 6T SRAMs commonly employ a wordline voltage underdrive (WLUD) scheme to suppress half-select (HS) disturbs in read and write cycles, at the expense of reduced cell read current (ICELL) and degraded write margin (WM). This paper proposes the dual-split-control (DSC) scheme, including split WLs and split cell VSS (CVSS), for 6T SRAM to maintain a compact cell area and improve HS cell stability during the read and write cycles without degrading ICELL and WM. A segmented CVSS-strapping scheme is developed to suppress the ground bounce on the split-CVSS lines. The CVSS voltage for S6T can be generated by either a constant voltage source or a charge-sharing-based CVSS generation scheme. A 28-nm 256-kb DSC6T SRAM macro was fabricated and achieves a 280-mV lower VDDmin than a conventional 6T SRAM.
引用
收藏
页码:2498 / 2514
页数:17
相关论文
共 36 条
[1]  
[Anonymous], 2008, IEEE ISSCC
[2]  
Chang J, 2013, ISSCC DIG TECH PAP I, V56, P316, DOI 10.1109/ISSCC.2013.6487750
[3]  
Chang L, 2005, 2005 Symposium on VLSI Technology, Digest of Technical Papers, P128
[4]   An 8T-SRAM for variability tolerance and low-voltage operation in high-performance caches [J].
Chang, Leland ;
Montoye, Robert K. ;
Nakamura, Yutaka ;
Batson, Kevin A. ;
Eickemeyer, Richard J. ;
Dennard, Robert H. ;
Haensch, Wilfried ;
Jamsek, Damir .
IEEE JOURNAL OF SOLID-STATE CIRCUITS, 2008, 43 (04) :956-963
[5]   A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes [J].
Chang, Meng-Fan ;
Sheu, Shyh-Shyuan ;
Lin, Ku-Feng ;
Wu, Che-Wei ;
Kuo, Chia-Chen ;
Chiu, Pi-Feng ;
Yang, Yih-Shan ;
Chen, Yu-Sheng ;
Lee, Heng-Yuan ;
Lien, Chen-Hsin ;
Chen, Frederick T. ;
Su, Keng-Li ;
Ku, Tzu-Kun ;
Kao, Ming-Jer ;
Tsai, Ming-Jinn .
IEEE JOURNAL OF SOLID-STATE CIRCUITS, 2013, 48 (03) :878-891
[6]   A 0.6V 45nm adaptive dual-rail SRAM compiler circuit design for lower VDD_min VLSIs [J].
Chen, Y. H. ;
Chan, W. M. ;
Chou, S. Y. ;
Liao, H. J. ;
Pan, H. Y. ;
Wu, J. J. ;
Lee, C. H. ;
Yang, S. M. ;
Liu, Y. C. ;
Yamauchi, H. .
2008 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS, 2008, :210-+
[7]  
Chen YH, 2014, ISSCC DIG TECH PAP I, V57, P238, DOI 10.1109/ISSCC.2014.6757416
[8]  
Hirabayashi O., 2009, P IEEE INT SOL STAT, P458, DOI DOI 10.1109/ISSCC.2009.4977506.
[9]  
Ik Joon Chang, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, P388
[10]   A 45nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous R/W access issues [J].
Ishikura, S. ;
Kurumada, M. ;
Terano, T. ;
Yamagami, Y. ;
Kotani, N. ;
Satomi, K. ;
Nii, K. ;
Yabuuchi, M. ;
Tsukamoto, Y. ;
Ohbayashi, S. ;
Oashi, T. ;
Makino, H. ;
Shinohara, H. ;
Akamatsu, H. .
2007 Symposium on VLSI Circuits, Digest of Technical Papers, 2007, :254-255