Buffered-Interconnect Performance and Power Dissipation in 3D ICs with Temperature Profile

被引:0
作者
Vendra, Satya K. [1 ]
Chrzanowska-Jeske, Malgorzata [1 ]
机构
[1] Portland State Univ, Elect & Comp Engn Dept, Portland, OR 97207 USA
来源
2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) | 2018年
关键词
Interconnect; Temperature-dependent delay estimation; 3D interconnect buffer count; 3D IC performance prediction;
D O I
10.1109/ISCAS.2018.8351416
中图分类号
TM [电工技术]; TN [电子技术、通信技术];
学科分类号
0808 ; 0809 ;
摘要
We generate, then analyse and evaluate the buffered interconnect performance and power dissipation in 3DICs with vertical temperature distribution. Wire distribution in all device layers in 3D ICs is generated at the floorplanning level. The floorplanner optimizes simultaneously blocks' and TSV islands' locations to reduce delay and power. We assume a heat sink is located at the bottom of the stack and temperature increases up the stacked layers. We noticed that weak increase in wire delay with temperature reduces the buffer insertion length and rises the number of needed buffers. More buffers with a considerable increase in buffer delay and leakage power impacts interconnect performance. It is shown that without including vertical temperature profile a prediction of 3D ICs performance and power dissipation could be strongly over-or underestimated. It also means that quality of 3D layout solutions generated during early optimization stages of physical design can be questionable. The percentage of overestimation and underestimation depend on location of the heatsink, the temperature profile and the benchmark itself. In tested benchmarks, we noticed up to 55% underestimation of the interconnect delay, 31 % in buffer count and 63% and higher in interconnect power consumption as compared to typically used room-temperature interconnect parameters' values.
引用
收藏
页数:5
相关论文
共 28 条
[21]   Thermal management of through-silicon vias and back-end-of-line layers in 3D ICs: A comprehensive review [J].
Zhang, Hongbang ;
Tian, Miao ;
Gu, Xiaokun .
MICROELECTRONIC ENGINEERING, 2025, 298
[22]   Influence of element size on the precision and required computational effort for 3D FEM interconnect capacitance simulations of ULSI DRAM cells [J].
Hieke, A .
2000 INTERNATIONAL CONFERENCE ON MODELING AND SIMULATION OF MICROSYSTEMS, TECHNICAL PROCEEDINGS, 2000, :420-423
[23]   Performance Modeling and Optimization for On-Chip Interconnects in 3D Memory Arrays [J].
Mohseni, Javaneh ;
Pan, Chenyun ;
Naeemi, Azad .
PROCEEDINGS OF THE SEVENTEENTH INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONIC DESIGN ISQED 2016, 2016, :252-257
[24]   Impact of On-Chip Interconnect on the Performance of 3-D Integrated Circuits With Through-Silicon Vias: Part II [J].
Zhang, Xuchen ;
Kumar, Vachan ;
Oh, Hanju ;
Zheng, Li ;
May, Gary S. ;
Naeemi, Azad ;
Bakir, Muhannad S. .
IEEE TRANSACTIONS ON ELECTRON DEVICES, 2016, 63 (06) :2510-2516
[25]   Cu-Based Thermocompression Bonding and Cu/Dielectric Hybrid Bonding for Three-Dimensional Integrated Circuits (3D ICs) Application [J].
Huang, Yuan-Chiu ;
Lin, Yu-Xian ;
Hsiung, Chien-Kang ;
Hung, Tzu-Heng ;
Chen, Kuan-Neng ;
Miyazaki, Seiichi ;
Tu, King-Ning .
NANOMATERIALS, 2023, 13 (17)
[26]   Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip [J].
Rahmani, A. -M. ;
Vaddina, K. R. ;
Latif, K. ;
Liljeberg, P. ;
Plosila, J. ;
Tenhunen, H. .
IET CIRCUITS DEVICES & SYSTEMS, 2012, 6 (05) :308-321
[27]   High-Performance 3D Mesh-Based NOC Architecture Using Node-Layer Clustering [J].
Habibi, Navid ;
Salehnamadi, M. Reza ;
Khademzadeh, Ahmad .
IETE JOURNAL OF RESEARCH, 2023, 69 (01) :509-524
[28]   Thermal performance and reliability of high temperature SiC power module with direct-cooled stacked Si3N4 substrates [J].
Dai, Jingru ;
Li, Jianfeng ;
Mouawad, Bassem ;
Johnson, Christopher Mark .
2018 1ST WORKSHOP ON WIDE BANDGAP POWER DEVICES AND APPLICATIONS IN ASIA (WIPDA ASIA), 2018,