A Survey on Machine Learning-Based Technology for Static Timing Analysis in Agile Design

被引:0
作者
Xu H. [1 ]
Yao W. [2 ]
Zhiyong F. [1 ]
Tun L. [2 ]
Wanxia Q.
Hai W. [3 ]
Jiliang Z. [1 ]
机构
[1] College of Computer Science and Electronic Engineering, Hunan University, Changsha
[2] College of Computer Science and Technology, National University of Defense Technology, Changsha
[3] School of Software, Tsinghua University, Beijing
来源
Jisuanji Fuzhu Sheji Yu Tuxingxue Xuebao/Journal of Computer-Aided Design and Computer Graphics | 2023年 / 35卷 / 04期
关键词
agile design; electronic design automation; machine learning; static timing analysis;
D O I
10.3724/SP.J.1089.2023.19557
中图分类号
学科分类号
摘要
As integrated circuits (ICs) become larger and more complex than ever, to increase design automaticity and productivity, agile design methodology has attracted a lot of attentions. In back-end design of ICs, machine learning technology for agile design are required to build a no-human-in-the-loop RTL-to-GDSII flow. For chip design, timing performance is a critical but effort-taking task. An accurate timing predictor, which is highly correlated with Sign-Off timing, is desirable to guide the timing optimization in the early design process. In this work, we propose a feasible framework for timing optimization in agile design. We also give discussion of the prior researches of machine learning-based timing predictions in RTL to GDSII design process in detail. At last, we further summarize the challenges of timing prediction in agile design from the aspects of data preparation, problem modeling, practicality and generality. © 2023 Institute of Computing Technology. All rights reserved.
引用
收藏
页码:640 / 652
页数:12
相关论文
共 45 条
  • [1] International technology roadmap for semiconductors
  • [2] Bao Yungang, Chang Yisong, Han Yinhe, Et al., Agile design of processor chips: issues and challenges, Journal of Computer Research and Development, 58, 6, pp. 1131-1145, (2021)
  • [3] DARPA rolls out electronics resurgence initiative
  • [4] Chen T., An ANN approach for modeling the multisource yield learning process with semiconductor manufacturing as an example, Computers & Industrial Engineering, 103, pp. 98-104, (2017)
  • [5] Ding D, Torres J A, Pan D Z., High performance lithography hotspot detection with successively refined pattern identifications and machine learning, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30, 11, pp. 1621-1634, (2011)
  • [6] Ward S, Ding D, Pan D Z., PADE: a high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning, Proceedings of the 49th Annual Design Automation Conference, pp. 756-761, (2012)
  • [7] Wang F C, Zhu H B, Popli P, Et al., Accelerating coverage directed test generation for functional verification: a neural network-based framework, Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 207-212, (2018)
  • [8] Kiely T, Gielen G., Performance modeling of analog integrated circuits using least-squares support vector machines, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp. 448-453, (2004)
  • [9] Kahng A B, Lin B, Nath S., ORION 3.0: a comprehensive NoC router estimation tool, IEEE Embedded Systems Letters, 7, 2, pp. 41-45, (2015)
  • [10] Lee Y, Waterman A, Cook H, Et al., An agile approach to building RISC-V microprocessors, IEEE Micro, 36, 2, pp. 8-20, (2016)